Diseño e implementación de gobernador de motores basado en PWM y FPGA para aplicarlo en el desarrollo de controlador de vuelo de UAV

Fecha
2015-10
Autores
Monterrosa, Noé
Bran, Carlos
Título de la revista
ISSN de la revista
Título del volumen
Editor
Resumen
En el presente documento se expondrá como se realizó el diseño Top Down del controlador de navegación a implementar en el UAV “Drone Bosco”, además se presentará la programación e implementación del driver para modulación por ancho de pulso que será usado en el Proyecto Drone Bosco para controlar sus motores. En el documento se explicarán las razones para la utilización de FPGA con lenguaje descriptivo de hardware, y como sus características ayudaron a lograr el objetivo. Por último se darán a conocer los resultados obtenidos en el laboratorio de pruebas con servomotores.
Descripción
Palabras clave
Dron Bosco , Motores , Aplicación , Controlador de Vuelo , UAV , PWM , FPGA
Citación
Monterrosa, Noe. Bran, Carlos. (2015). Design and implementation of a motor control module based on PWM and FPGA for the development of a UAV flight controller = Diseño e implementación de gobernador de motores basado en PWM y FPGA para aplicarlo en el desarrollo de controlador de vuelo de UAV. Universidad Don Bosco.